TSMC esitteli FinFlex-teknologian N3-valmistusprosesseille

17.6.2022 - 08:14/ Petrus Laine Kommentit (0)

FinFlex mahdollistaa suorituskykyoptimoitujen, keskilinjaa noudattavien sekä tiheys- ja energiatehokkuusoptimoitujen transistorien käytön samassa sirussa.

Lue lisää